• Sonuç bulunamadı

4 SIZDIRMAYA KARŞI ÖZGÜN SRAM TASARIMI, CSRAM

4.2 İçerik Uyarlamalı Bit Hücreleri ve CSRAM

CSRAM, bit hücresinde hem mantık 0 hem de 1 değeri tutulurken, her durumda durağan enerji kaybına karşı etkin, içerik uyarlamalı olarak bit hücresindeki ilgili transistörlerin eşik değeri voltajlarını, alttaş kutuplama (body biasing) yöntemi ile ayarlayarak her iki durum içinde veri tutulurken kapalı transistörlerden kaynaklı sızdırma akımlarını azaltan yeni İçerik Uyarlamalı Bit Hücresi tasarımları ile oluşturulan özgün SRAM yapısıdır [56].

4.2.1 CSRAM Bit Hücresi ile Mevcut SRAM Bit Hücresi Farkları

Öncelikle mevcut SRAM bit hücrelerinde bias gerilimleri n-tipi transistör için sadece toprağa ve p-tipi transistör için ise sadece Vdd’ye bağlı iken CSRAM’de her iki transistör tipi içinde 2 farklı seviyede uygulanır. CSRAM bit hücresinde mevcut SRAM bit hücresinden farklı olarak: Mevcut SRAM tasarımında olduğu gibi tek bir güç besleme hattı, Vdd, ve tek bir toprak hattı, gnd, yerine CSRAM üzerinde 2 güç

65

besleme gerilim hattı (Vdd ve Vddbias) ve 2 toprak hattı (Toprak ve Toprakbias)

bulunmaktadır.

PMOS transistörler için sızdırmayı azaltmak için bu bias gerilimlerinden Vddbias,

Vdd’den daha yüksek değere, NMOS transistörler için ise Toprakbias, 0’dan (toprak)

daha düşük değere ayarlanmıştır. Bu bias gerilimlerinin ayarlanması Denklem (4.1)’deki eşik değer voltajının, , kaynaktan alttaş arası gerilim farkı, ile ilişkisi prensibine dayanarak yapılmıştır. Bias gerilimlerinin transistör iç yapısı gösterilerek oluşturulan bir evirici gösterimi ise Şekil 4.1’de gösterilmektedir [57].

(4.1)

(Denklem (4.1)’de VTo, VSB=0 olduğu andaki ilk Vth değeridir, γ parametresi alttaş

etkisi katsayısıdır ve parametresi ise yüzey gerilimini temsil etmektedir.)

n+ p+ N-bias Vin Vout Vin P-Substrate (Bodyn) Gatep N-Well (Bodyp) Gaten

Source Drain Drain Source

P-bias

n+ p+ p+ n+

Polysilicon Polysilicon

Insulator Insulator

Şekil 4.1 Bias gerilimlerinin transistör iç yapısı gösterilerek oluşturulan bir evirici

Ayrıca CSRAM bit hücresinde, bias gerilim hatlarından içerde tutulan bit değerine göre gerekli bias gerilimini alarak uygun eşik değeri gerilimine geçebilmek için kullanılan, fazladan 8 geçiş transistörü kullanılmaktadır.

4.2.2 İçerik Uyarlamalı Bit Hücreleri ve CSRAM Tasarımı

İçerik Uyarlamalı CSRAM Bit Hücresinin transistör seviyesinde 4.2.1’de belirtilen farklılıkları da içeren devre tasarımı Şekil 4.2’de verilmektedir.

66

Vdd

Kelime Seçme Teli

Bit Bit Toprak A B A B Toprakbias B A Vddbias A B B A

Şekil 4.2 İçerik Uyarlamalı CSRAM Bit Hücresi

CSRAM bit hücresinin mantık 0 ve 1 bit değerlerinin saklandığı iki farklı çalışma durumu vardır ve bu durumların her ikisini de içerecek bir çalışma senaryosu ile Şekil 4.2 üzerinden gidilerek çalışma mantığı anlatılacaktır. Şekil 4.2’de Vddbias ile

Vdd telleri arasında PMOS transistörleri için bias gerilimlerini uygulayan geçiş transistörleri, Toprak ile Toprakbias telleri arasında ise NMOS transistörleri için bias

gerilimlerini uygulayan geçiş transistörleri yer almaktadır. Vdd ile Toprak telleri arasındaki alanda ise verinin saklandığı arka arkaya eviriciler bulunmaktadır.

CSRAM bit hücresine Bit teli üzerinden mantık 0 değeri verildiğinde önce sağdaki eviricinin girişine gelen mantık 0 değeri terslenerek çıkışa mantık 1 değeri olarak verilir ve bu çıkış soldaki eviricinin girişine gelir, böylece bit hücresinde mantık 0 bit değeri tutulmaya başlar.

Arka arkaya evirici bloğunda, mantık 0 tutulurken sağdaki eviricinin NMOS ve PMOS transistörlerinin girişlerine B noktası üzerinden mantık 0 değeri geldiği anda

67

sağ üstte yer alan PMOS transistörü açılır ve sağ altta bulunan NMOS transistörü ise kapalı moda geçer. Soldaki eviricinin NMOS ve PMOS transistörlerinin girişlerine A noktası üzerinden mantık 1 değeri geldiği anda ise sol üstte yer alan PMOS transistörü kapalı moda geçer ve sol altta bulunan NMOS transistörü ise açılır.

Bu durumda bit hücresinde 0 tutulurken sağ alttaki NMOS ile sol üstteki PMOS transistörleri kapalı moddadırlar ve bu transistörlerin sızdırma akımlarının azaltılması için bias gerilimlerinden faydalanarak eşik değeri voltajlarını yükselten mekanizma ise şu şekilde işlemektedir.

Bit hücrelerinde tutulmak üzere mantık 0 geldiğinde (Tüm noktalarda B=0 ve A=1) PMOS için bias gerilimleri geçiş transistörlerinin olduğu blokta sol üstte yer alan PMOS transistörü açılır ve Vddbias gerilimi (Vdd’den yüksek voltaj seviyesinde) arka

arkaya eviriciler bloğunda sol üstte yer alan PMOS transistöre uygulanmaya başlar. Mantık 0 geldiğinde NMOS için ise bias gerilimleri geçiş transistörlerinin olduğu en alttaki blokta sağ altta yer alan NMOS transistörü açılır ve Toprakbias gerilimi

(Toprak’tan daha düşük voltaj seviyesinde) kesitin ortasında bulunan arka arkaya eviriciler bloğunda sağ alttaki NMOS transistöre uygulanmaya başlar.

Bu mekanizma ile mantık 0 tutulurken eviriciler bloğunda sol altta bulunan NMOS ile sağ üstte bulunan PMOS transistörlerinin bias gerilimleri olarak ise mevcut SRAM’deki normal güç gerilimleri olan Vdd ve Toprak bağlanmış olur. Ayrıca sol ve sağdaki bit tellerine bağlı geçiş transistörleri de kapalı olma durumuna göre değil ama içerdeki tutulan veriye göre biasları ayarlanmaktadır.

Bit hücresinde mantık 1 tutulurken ise (Tüm noktalarda B=1 ve A=0 olduğunda) sağ üst pmos ve sol alt nmos kapalı moda geçer. Ayrıca yukardaki pmos geçiş transistörleri bloğunda sağ üstteki pmos geçiş transistörü açılarak Vddbias gerlimi

arka arkaya evirici bloğundaki kapalı moddaki sağ üstte yer alan pmos’un bias gerilimi olarak; aşağıdaki nmos geçiş transistörleri bloğunda ise sol alttaki nmos geçiş transistörü açılarak arka arkaya evirici bloğundaki kapalı moddaki sol altta yer

68

alan nmos’un bias gerilimi olarak ayarlanır. Arka arkaya evirici bloğundaki açık olan transistörlerin ise bias gerilimleri normal Vdd ve Toprak olarak ayarlanır.

Sonuç olarak bir bit hücresinde mantık 0 tutulurken de mantık 1 tutulurken de kapalı modda olan ve sızdırma beklenen transistörlerin alttaşlarına biased gerilimleri gelmekte ve eşik değer voltajları, Vth, normale göre (Vth0) yükseltilmiş olmaktadır.

Böylece her durumda bit hücresindeki kapalı transistörlerden gelen sızdırma akımlarına bağlı durağan enerji kaybı azaltılmış olur.