• Sonuç bulunamadı

FPGA kullanılarak dizi anten performansının iyileştirilmesi

N/A
N/A
Protected

Academic year: 2021

Share "FPGA kullanılarak dizi anten performansının iyileştirilmesi"

Copied!
142
0
0

Yükleniyor.... (view fulltext now)

Tam metin

(1)

iv

T.C.

SELÇUK ÜNĠVERSĠTESĠ FEN BĠLĠMLERĠ ENSTĠTÜSÜ

FPGA KULLANILARAK DĠZĠ ANTEN PERFORMANSININ ĠYĠLEġTĠRĠLMESĠ

Muhammed Fahri ÜNLERġEN DOKTORA TEZĠ

Elektrik - Elektronik MühendisliğiAnabilim Dalı

Ekim-2015 KONYA Her Hakkı Saklıdır

(2)
(3)
(4)

vii

ÖZET DOKTORA TEZĠ

FPGA KULLANILARAK DĠZĠ ANTEN PERFORMANSININ ĠYĠLEġTĠRĠLMESĠ

Muhammed Fahri ÜNLERġEN Selçuk Üniversitesi Fen Bilimleri Enstitüsü

Doktora Derecesi

Elektrik - Elektronik Mühendisliği Anabilim Dalı

DanıĢman: Doç.Dr. Ercan YALDIZ Ġkinci danıĢman: Doç.Dr. ġ. Taha ĠMECĠ

2015, 132 Sayfa

Jüri

Prof.Dr. Salih GÜNEġ Doç.Dr. Ercan YALDIZ

Doç.Dr. ġ.Taha ĠMECĠ Yrd.Doç.Dr. S.Sinan GÜLTEKĠN

Yrd.Doç.Dr. Hakkı SOY

Anten dizisi, üzerine gelen sinyalin doğrultusunun belirlenebilmesi ve istenilen ışıma deseninin oluşturulabilmesi gibi yetenekleri sayesinde birçok uygulamada avantajlar sunmaktadır. Radar, sonar ve yere nüfus eden radar (ground penetrating radar, GPR) gibi uygulamalarda anten dizileri kullanımı sayesinde sabit antenlerle tarama yapmak mümkün olmaktadır. Uzay bölmeli çoklu erişim (space division multiple access, SDMA), anten dizilerinin ışıma deseni şekillendirme yeteneğine dayanır. Bu tez çalışmasında gelen sinyal yönü (direction of arrival, DOA) kestirimi algoritmaları ve dijital ışıma deseni şekillendirme (digital beam forming, DBF) metotları incelenmiştir. DOA kestirim algoritmalarının simülasyonları yapılmıştır. Melez bir algoritma geliştirilmiş ve performansı kendisini oluşturan algoritmalarla karşılaştırılmıştır. Çalışma frekansı 50 MHz olan 4 kanallı bir alıcı tasarlanmıştır. 2 MHz olan ara frekans sinyalleri 40 Msps hızında dijitale çevrilmektedir. Dijitale çevrilen sinyaller bir Alanda Programlanabilir Kapı Dizisi‟ne (field programmable gate array, FPGA) gönderilmektedir. Bartlett, MVDR ve FOFP algoritmalarının her biri ile FPGA‟da düzgün doğrusal anten dizisi için DOA kestirimi yapılmaktadır. Sonuçlar seri haberleşme ile PC‟ye gönderilmektedir. Ayrıca ışıma deseni şekillendirme algoritmaları simülasyonlarla incelenerek 10 elemanlı bir düzgün doğrusal anten dizisi için ışıma deseni şekillendirme işlemi genetik algoritma (Genetic algorithm, GA) ve yapay arı kolonisi (artificial bee colony, ABC) algoritması ile gerçekleştirilmiştir. Bu algoritmalardan ABC algoritması FPGA üzerinde yürütülmüştür. Bu tez çalışması sonuçları, hesaplamalar için PC yerine FPGA kullanmanın işlemlerin hızını önemli ölçüde artırdığını göstermektedir.

(5)

viii

ABSTRACT

Ph.D THESIS

IMPROVING OF ARRAY ANTENNA PERFORMANCE USING FPGA

Muhammed Fahri ÜNLERġEN

The Graduate Schoolof Natural and Applied Scienceof Selçuk University The Degree of Doctor of Philosophy

in Electrical– Electronic Engineering

Advisor:Assoc. Prof.Dr. Ercan YALDIZ Co-advisor: Assoc. Prof.Dr. ġ.Taha ĠMECĠ

2015, 132Pages

Jury

Professor Salih GÜNEġ Associate Professor Ercan YALDIZ Associate Professor ġ. Taha ĠMECĠ Assistant Professor S. Sinan GÜLTEKĠN

Assistant Professor Hakkı SOY

In many applications, using antenna arrays has a lot of advantages thanks to their abilities such as determining direction of arrival and forming radiation pattern. By using antenna arrays, it is possible to use non-rotating antennas for scanning a sector in radar, sonar and ground penetrating radar (GPR) applications. The space division multiple access (SDMA) method bases on the radiation pattern forming ability of antenna arrays. In these studies, direction of arrival (DOA) estimation techniques and digital beam forming (DBF) techniques are investigated. Some simulations are fulfilled for DOA estimation algorithms. A hybrid estimation algorithm is developed and its performance is compared with the algorithms which compose it. A receiver which has 4 channels with 50 MHz operating frequency is designed. The intermediate frequency (IF) at 2 MHz is digitized at speed of 40 Msps. A field programmable gate array (FPGA) is fed with the digitized IF signals. For a uniform linear array (ULA) antenna, Bartlett, minimum variance distortionless response (MVDR) and first order forward prediction (FOFP) algorithms are executed on FPGA for DOA estimation. The results are sent to a PC via serial communication. Additionally, the digital beam forming methods are reviewed with some simulations. Genetic algorithm (GA) and artificial bee colony (ABC) algorithm are employed for a ULA which consists of 10 antenna elements. The ABC is also executed on FPGA. From the results of this thesis studies, it is shown that the speed of the process increases significantly by using an FPGA instead of a PC for the calculations.

(6)

ix

ÖNSÖZ

Bu tez çalışmasının seçiminde, planlanmasında, denemelerin yürütülmesinde ve sonuçların değerlendirilmesinde, benden ilgi ve yardımlarını esirgemeyen, bilgi ve deneyimlerinden en üst düzeyde faydalandığım, danışman hocam Sayın Doç. Dr. Ercan Yaldız‟a şükranlarımı sunar, tez İzleme Komitemde yer alan, bilgi ve tecrübelerinden faydalandığım değerli hocalarım Prof. Dr. Mehmet Bayrak ve Yrd. Doç.Dr. Seyfettin Sinan Gültekin‟e teşekkürü bir borç bilirim.

11101021nolu proje ile tez çalışmamı maddi olarak destekleyen Selçuk Üniversitesi Bilimsel Araştırma Projeleri Koordinatörlüğüne teşekkür ederim.

Tez çalışmam esnasında destekleriyle beni yalnız bırakmayan tüm Doğanhisar Meslek Yüksekokulu akademik ve idari personeline, Yrd.Doç.Dr. Kadir Sabancı‟ya sonsuz teşekkürlerimi sunarım.

Ayrıca benim tüm kahrımı çeken, en umutsuz anımda bile yanımda olan, desteğini hiçbir zaman esirgemeyen sevgili eşim Hatice Ünlerşen‟e ve kendisine yeterli zaman ayıramadığım oğlum Mustafa AsımÜnlerşen‟e teşekkürü bir borç bilirim.

Muhammed Fahri ÜNLERŞEN KONYA-2015

(7)

x ĠÇĠNDEKĠLER ÖZET ... vii ABSTRACT ... viii ÖNSÖZ ... ix ĠÇĠNDEKĠLER ... x

SĠMGELER VE KISALTMALAR ... xii

1. GĠRĠġ ... 1 2. KAYNAK ARAġTIRMASI ... 5 3. MATERYAL VE YÖNTEM ... 12 3.1. Kullanılan Materyal ... 12 3.1.1. Antenler ... 13 3.1.2. Alıcı birimi ... 13 3.1.3. Analog dijital dönüştürücü ... 15

3.1.4. FPGA geliştirme kartı ... 16

3.1.5. Bilgisayar ... 18

3.1.6. MATLAB yazılımı ... 18

3.1.7. Quartus II yazılımı ... 19

3.2. Kullanılan Yöntem ... 19

3.2.1. Anten dizileri ... 20

3.2.2. Gelen sinyal yön (DOA) kestirimi ... 27

3.2.3. Işıma deseni şekillendirme ... 36

3.2.4. Sonuç ... 51

4. ARAġTIRMA SONUÇLARI VE TARTIġMA ... 55

4.1. ULA Antenin Modellenmesi ... 56

4.2. Melez DOA Kestirim Algoritmasının Geliştirilmesi ... 58

4.3. Yapay Sinir Ağları (ANN) Kullanarak DOA Kestirimi ... 63

4.4. Genetik Algoritma Kullanarak Işıma Deseni Şekillendirme ... 68

4.5. Yapay Arı Kolonisi Algoritması ile Işıma Deseni Şekillendirme ... 75

4.6. Tasarlanan Sistem ile Yapılan Ölçümler ... 83

4.7. FPGA Üzerinde DOA Kestirimi İçin Yapılan Ortak İşlemler ... 88

4.8. FPGA Üzerinde Klasik Demet Şekillendirme Metodu ile DOA Kestirimi ... 92

4.8. FPGA Üzerinde MVDR Metodu ile DOA Kestirimi ... 97

4.9. FPGA Üzerinde FOFP Metodu ile DOA Kestirimi ... 103

4.10. FPGA Üzerinde ABC Algoritması İle Anten Parametrelerinin Belirlenmesi . 107 4.11. FPGA Kullanımının Sistem Performansına Etkileri ... 115

5. SONUÇLAR VE ÖNERĠLER ... 118

(8)

xi

5.2 Öneriler ... 121

KAYNAKLAR ... 122

EKLER ... 127

(9)

xii SĠMGELER VE KISALTMALAR Simgeler ( , ) A  Etkin açıklık c Işık hızı

d ULA anten elemanları arası mesafe ( , )

D  Anten yönelticiliği

E Elektrik alan şiddeti

e Doğal logaritmik sayı

e Anten verimi

f Frekans

( , )

G  Anten kazancı

H Manyetik alan şiddeti

î ve ĵ x ve y koordinatlarındaki birim vektörler

k Dalga sayısı

X-1 Matrisin tersi

XH Hermitian operatörü

β Anten elemanlarının uyartımları arasındaki faz kayma miktarı

θ ULA antenin normaline göre elevasyon açısı

λ Dalga boyu

 ULA antenin normaline göre azimut açısı

ω Açısal frekans

ψ Bağıl Faz

Kısaltmalar

ABC Artificial Bee Colony Yapay Arı Kolonisi

ADC Analog Digital Converter Analog Dijital Dönüştürücü

AF Audio Frequency Ses Frekansı

ANN Artificial Neural Networks Yapay Sinir Ağı

BER Bit Error Rate Bit Hata Oranı

BPF Band Pass Filter Bant Geçiren Filtre

CDMA Code Division Multiple Access Kod Bölmeli Çoklu Erişim

CO Crossing Over Çaprazlama

CORDIC COordinate Rotation DIgital Comp. Koordinat Çeviren Dijital Bilgisayar

CPLD Complex PLD Karmaşık PLD

DBF Digital Beam Forming Dijital Demet Şekillendirme DFT Discrete Fourier Transform Ayrık Fourier Dönüşümü

DOA Direction Of Arrival Geliş Yönü

DSP Digital Signal Processor Dijital Sinyal İşlemci

EİYK En İyi Yem Kalitesi

EKYK En Kötü Yem Kalitesi

EM Electromagnetic Elektromanyetik

FBA Fixed Beam Arrays Sabit Demetli Diziler

FDMA Frequency Division Multiple Access Frekans Bölmeli Çoklu Erişim F-E RFS Front-End RF Structure Baştan Sona RF Yapı

(10)

xiii

FNBW First Null Beam Width İlk Sıfır Demet Genişliği

FOFP First Order Forward Prediction Birinci Dereceden İleri Kestirim FPGA Field Programmable Gate Arrays Alanda Programlanabilen Kapı Dizileri FSK Frequency Shift Keying Frekans Kaydırmalı Anahtarlama

GMSK Gaussian Minimum FSK Gauss Minimum FSK

GPR Ground Penetrating Radar Yere Nüfuz Eden Radar GSM Global System for Mobile Mobil için Global Sistem HDL Hardware Definition Language Donanım Tanımlama Dili HPBW Half Power Beam Width Yarı Güç Demet Genişliği

IC Integrated Circuit Entegre Devre

IF Intermediate Frequency Ara Frekans

KYK Komşunun Yem Kalitesi

LNA Low Noise Amplifier Düşük Gürültülü Yükselteç LSNP Linear Smoothed NP Lineer İyileştirilmiş NP

MBW Main Beam Width Ana Demet Genişliği

MLM Maximum Likelihood Method Maksimum Olabilirlik Metodu MSB Multiple Sub-array Beam-space Çoklu Alt dizili Demet-uzayı MSNP Median Smoothed NP Medyan İyileştirilmiş NP MUSIC MUltiple SIgnal Classification Çoklu Sinyal Sınıflandırma

NP Newton Predictor Newton Kestiricisi

OPAMP Operational Amplifier İşlevsel Yükselteç

PLD Programmable Logic Device Programlanabilir Mantık Cihazı QPSK Quadrature Phase Shift Keying Dördün Faz Kaymalı Anahtarlama

RF Radio Frequency Radyo Frekansı

RLSN Recursive Smoothed NP Özyinelemeli İyileştirilmiş NP

RMSE Root Mean Square Error Hata Kareleri Ortalamasının Karekökü

ROM Read Only Memory Sadece Okunabilir Bellek

SDMA Space Division Multiple Access Uzay Bölmeli Çoklu Erişim SDR Software Defined Radio Yazılım Tanımlı Radyo

SLL Side Lobe Level Yan Kulak Seviyesi

SNR Signal Noise Ratio Sinyal Gürültü Oranı

SOPC System On a Programmable Chip Programlanabilir Yongadaki Sistem

STM Space Time Matix Uzay Zaman Matiris

SV Steering Vector Yön Vektörü

TDMA Time Division Multiple Access Zaman Bölmeli Çoklu Erişim UCA Uniform Circular Array Düzgün Dairesel Dizi

UD Uyum Değeri

ULA Uniform Linear Array Düzgün Doğrusal Dizi

(11)

1. GĠRĠġ

İletişim, iletilen bilginin hem gönderici hem de alıcı tarafından anlaşıldığı ortamda göndericiden alıcıya aktarılma sürecidir. Organizma ve cihazların çeşitli yöntemlerle bilgi alışverişi yapmalarına olanak tanıyan bir süreçtir. İletişim, organizma içinde sinir ağları, hormonlar vb. metotlarla olabileceği gibi organizmalar arasında farklı yöntemlerle de olabilir. Cihazlar arasında ise iletişim mekanik, pnömatik, hidrolik veya elektronik olarak gerçekleştirilebilir.

Elektronik olarak iki cihazın haberleştirilmesinde iletim hatları veya radyo dalgaları kullanılır. Ancak radyo dalgaları kullanmak cihazlar arasında fiziksel bir bağlantı gerektirmediği için oldukça revaçtadır.

İki cihazı radyo dalgaları ile haberleştirebilmek için verici tarafında üretilen bilgileri elektromanyetik dalgalara ve alıcı tarafına gelen elektromanyetik dalgaları da tekrar bilgiye çeviren cihazlar gereklidir. Bunlara anten denilir.

Temel olarak anten, (dalga kılavuzu, koaksiyel kablo vb.) iletim hattında bulunan kılavuzlanmış dalgaları serbest uzayda hareket eden ışımalara çeviren ve/veya tam tersi işlem yapan bir dönüştürücü olarak tanımlanabilir. Şekil 1.1‟de dalga kılavuzunda tek boyutta vericiden antene doğru ilerleyen dalgalar ve anten tarafından üç boyutlu uzaya yayılan dalgalar gösterilmektedir (Saunders ve Zavala, 2007).

(12)

Genellikle tek bir anten elemanı düşük yönlendiriciliğe ve geniş bir ışıma desenine sahiptir. Birçok uygulamada uzak mesafe haberleşme gereksinimini sağlayabilmek için iyi yönlendirme ve kazanç özelliğine sahip antenler gereklidir. Bu ancak antenin elektriksel büyüklüğü artırılarak sağlanabilir.Bu nedenle birden çok anten belirli konumlara yerleştirilerek anten dizileri elde edilir.Anten elemanlarının türleri ve diziliş geometrileri anten dizisini karakterize eder. Dizi antenlerin ışıma desenleri, kullanılan anten elemanlarının karakteristiği olan anten faktörü ile dizi antenin geometrik yapısından kaynaklanan dizi faktörü çarpımından elde edilir. Böylece ihtiyaca göre dizi anten karakteristiği sağlanmış olur (Balanis, 1997).

Anten dizileri radar, sonar, haberleşme, sismik veri temini ve benzeri birçok alanda kullanılmaktadır. Akıllı anten (smart antenna) olarak da bilinen anten dizileri bilhassa adaptif sinyal işleme metotlarıyla, üçüncü nesil (3G) mobil haberleşme sistemlerinde önemli yer bulmaktadır. Gelen sinyal yön (Direction Of Arriaval, DOA) kestirimi teknikleri ile mobil kullanıcının konumu belirlenebilmekte böylece sinyal sadece kullanıcı doğrultusunda yayınlanarak enerji verimliliği artırılmaktadır. Ayrıca anten dizilerinin eş kanal sönümlemelerini engellemesi, sistemin gürültü bağışıklığını artırmaktadır. Antenlerde oluşan yan kulak seviyeleri düşürülerek hem enerji sarfiyatı hem de gürültü azaltılmaktadır(Goossens ve Rogier, 2007; Viberg, 2001).

Haberleşmede veri iletim hızı oldukça önemlidir. Veri hızının bant genişliği ile ilişkili olmasından dolayı haberleşme sistemlerinde kapasitenin verimli şekilde kullanılması bir zorunluluktur. Bu amaçla yıllardır çeşitli araştırmalar yapılmaktadır ve bu araştırmalar sonucunda değişik metotlar geliştirilmiştir. Bunların en temelleri; frekans bölmeli çoklu erişim (Frequency Division Multiple Access,FDMA), zaman bölmeli çoklu erişim (TimeDivision Multiple Access,TDMA), kod bölmeli çoklu erişim (CodeDivision Multiple Access,CDMA), ortogonal frekans bölmeli çoğullama (Orthogonal Frequency Division Multiplexing, OFDM) ve uzay bölmeli çoklu erişim(SpaceDivision Multiple Access,SDMA)‟dir (Agrawal ve Zeng, 2011).

DOA ve hüzme biçimlendirme özelliği, SDMA yaklaşımın temelidir. Bir akıllı anten sistemi, ışıma desenini gelen sinyallere göre şekillendirebilen ve ışımanın hangi kullanıcılara doğru oluşturulacağını ayarlayabilen, dizi anten yapısıdır. Bu işlemin süresi, geliş açısı kestiriminde ve ışıma desenini ayarlamada harcanan zaman ile ilişkilidir. Çözünürlük ise antenin dizi yapısı ile olduğu kadar yine kullanılan DOA algoritmaları ile ilgili bir durumdur (Hao, 2012).

(13)

Radar ve sonar gözetim sistemlerinde sabit anten dizisi kullanarak tarama yapmak mümkündür. Bu işlem için yine DOA kestirim teknikleri kullanılır.

Şekil 1.2‟de de görüldüğü gibi akıllı antenler dijital sinyal işleme birimine sahip anten dizileridir. Dijital sinyal işleme birimi her bir anten için w karmaşık katsayılarını hesaplayarak antenlerden gelen sinyallerin fazını ve genliğini değiştirir. Böylece anten dizisinin ışıma deseni istenilen biçime girer (Godara, 2004).

ġekil 1.2.Akıllı anten blok diyagramı

Her ne kadar akıllı anten teknolojisi haberleşmenin kapasitesini artırmış olsa da yüksek işlem yüküne sahip sinyal işleme algoritmaları tüm sistem performansını etkiler. Bu nedenle sinyal işleme donanımı akıllı antenlerin performansına oldukça önemli bir etkiye sahiptir (Godara, 2004).

Akıllı antenlerin sinyal işleme birimi donanımı olarak alanda programlanabilen kapı dizileri (Field Programmable Gate Array, FPGA) kullanılması akıllı antenlerin performansını artıracaktır.

FPGA, imalat sonrası kullanıcı tarafından programlanarak istenilen amaçta fonksiyonları yerine getirebilen bir entegre devre (Integrated Circuit, IC)‟dirve donanım

(14)

tanımlama dili (Hardware Description Language, HDL) kullanılarak programlanırlar (Anonymous, 2014). FPGA‟lar arama tabloları (LookUp Tables, LUTs) olarak adlandırılan birimlerden oluşur. Hızlarına ve barındırdıkları LUT sayılarına göre sınıflandırılırlar. En önemli özellikleri ise paralel işlem yapabilme yetenekleridir.

Bu tez çalışmasında FPGA kullanılarak lineer anten dizisinin performansının artırılması amaçlanmıştır. Bu amaçla belli başlı DOA kestirim algoritmalarının işlem süreleri MATLABsimülasyonları ile ölçülmüştür. Kurulan 4 kanallı alıcı sistemi ile yapılan ölçüm sonuçları MATLAB ortamında çeşitli DOA algoritmaları ile sınanmıştır. DOA kestirim algoritmaları paralel hesaplamalar haline getirilerek FPGA üzerinde çalıştırılmış veişlem süreleri ölçülmüştür. Mikro işlemcili ve FPGA‟lı yapılar için DOA kestirim süreleri mukayese edilmiştir. Ayrıca ışıma deseni şekillendirme algoritmaları incelenmiştir. MATLAB ortamında ve FPGA üzerinde ışıma deseni biçimlendirme işlemlerinin süreleri ölçülerek karşılaştırılmıştır.

Tezin ikinci bölümünde kaynak araştırması yapılarak DOA kestirim teknikleri üzerine yapılmış çalışmalardan bahsedilmiştir. Üçüncü bölümde tezde kullanılan materyal ve yöntem, dördüncü bölümde tez kapsamı içerisinde yapılan çalışmalar ve bulgular, beşinci bölümde ise çıkarılan sonuçlar anlatılmıştır.

(15)

2. KAYNAK ARAġTIRMASI

Miura ve arkadaşları (1997), 13000 kapılı 10 adet FPGA kullanarak L bandında (1.542GHz)uydu sinyalleri için DOA kestirimi yapmışlardır. 4 × 4 şeklinde λ/2 aralıklayerleştirilmiş16 adet yuvarlak yama anten kullanmışlardır. Gelen sinyal 32 kHz ara frekansına (Intermediate Frequancy, IF) düşürülmüş ve 11kHz bant genişlikli bant geçiren filtre (Band Pass Filter, BPF) ile filtrelendikten sonra 128kHz örnekleme frekansı ile 8 bitlik dijital veriye çevrilmiştir. Tasarladıkları dijital sinyal işlemcisi (Digital Signal Processor, DSP) ile iki boyutlu hızlı fourier dönüşüm (Fast Fourier Transform, FFT) metodu ile DOA kestirimi yapılmıştır. Ayrıca alınan sinyal dördün faz kaydırmalı anahtarlama (Quadrature Phase Shift Keying, QPSK) metoduna göre demodüle edilmiştir. Bu yapı bir araç üzerine monte edilmiş ve farklı hava şartları ve ortamlarda testler yapılmıştır. Saniyede 2Mbit veri işlenmiştir. Deneylerde bir kart üzerinde 10 adet FPGA ile gerçek zamanlı sinyal işleme kullanılarak çoklu demet şekillendirme yapıldığı gösterilmiştir. Herhangi bir engel sonrası hızlı bir şekilde uydunun konumunun tekrar tespit edildiği gösterilmiştir.

Bucci ve arkadaşları (2000),adaptif anten dizilerinin avantajlarını göstermek amacıyla mobil iletişim için küresel sistem (Global System for Mobile communication, GSM) yapısı içerisinde kullanılmak üzere akıllı antene sahipbaz istasyonu tasarlamışlardır. Mimari ve donanım bakımından, esnek bir yapıda, hem laboratuvar hem de alanda test yapılabilecek özellikte sekiz antenden oluşan bir dizi tasarlamışlardır. Alınan sinyal Gauss minimum frekans kaydırmalı anahtarlamaya (Gaussian Minimum Frequency Shift Keying, GMSK) göre demodüle edilmiş ve gönderilecek sinyal yine GMSK‟ya göre modüle edilmiştir. Deneyler sonucunda simülasyon ve alan uygulamaları sonuçlarının muntazam bir şekilde uyuştuğu gözlenmiş olduğu belirtilmektedir.

Hutchings ve Nelson(2001), iki alanda 400MHz işlemcili bir kişisel bilgisayar ve 50MHz frekansta çalışabilen bir FPGA‟yı karşılaştırmışlardır. İlk olarak görüntü işleme alanındaki,Dilation, Erosion ve Hit-and-Miss gibi temel işlemleri 1024×1024 boyutundaki bir resim üzerinde uygulamışlardır. Kişisel bilgisayar bu işlemleri toplamda 0.24saniyede yaparken FPGA 0.023saniyede yaptığı görülmüştür. Pasif demet şekillendirme işlemini yine 400MHz işlemcili bir kişisel bilgisayarla ve 50MHz frekansta çalışabilen bir FPGA ile gerçekleştirmişlerdir. Sonuçta kişisel bilgisayar bir

(16)

kere şekillendirme yapıncaya kadar FPGA‟nın 83 kere şekillendirme yapabildiğini tespit etmişlerdir.

Hao ve Ping(2002), DOA algoritmalarından çoklu sinyal sınıflandırma (MUltiple SIgnal Classification, MUSIC) algoritmasını basitleştirerek FPGA üzerinde çalıştırmışlardır. Dairesel dizi antenleri için MUSIC hesaplamalarının başında yer alan korelasyon matrisi elde edilmesi esnasında antenleri iki gruba ayırarak birbirinin eşlenik simetriği iki matris elde etmiştir. Bunları kullanarak korelasyon matrisini Hermityen matrisinden gerçelsimetri matrisine çevirmek mümkün olmuştur. Bu sayede öz değer çıkartımı ciddi ölçüde kolaylaşmıştır.Böylece 10 MHz frekanslı bir FPGA kullanarak korelasyon matrisi çözümü 890µs‟de yapılabilmiştir.

Salcic ve Mecklenbrauker (2002), 2, 4 ve 6 antenli sistemler için LS-DRMTA algoritmasını kullanarak MATLAB/Simulink ortamında tek antenli ve çok antenli yapıları kıyaslamak için bir simülasyon yapmışlardır. Simülasyonlar sonucunda bit hata oranları (Bit Error Rate, BER) grafikle karşılaştırılmış ve anten sayısı arttıkça hata oranının düştüğü gözlenmiştir.

Kim ve arkadaşları (2003), FPGA kullanarak DOA kestirim algoritmalarından MUSIC algoritmasını gerçekleştirmişlerdir. Bu amaçla FPGA üzerinde bir DSP tasarlamışlardır. Bu DSP, koordinat dönüştüren dijital bilgisayar (COordinate Rotation DIgital Computer, CORDIC) temelli Cyclic Jacobi işlemcidir. Sistem yüksek performansta öz değer ayrımı yapabilmektedir. Ayrıca ayrık Fourier dönüşüm (Discrete Fourier Transform, DFT) de yapabilmektedir. Sistem korelasyon matrisi hesaplayıcı, öz değer ayrıştırıcı, 256 noktalı Fourier dönüştürücü ve lokal minimum arayıcıdan oluşmaktadır. Sonuçta korelasyon matrisi 32 saat darbesinde, öz değerler 1836 saat darbesinde ve FFT 1102 saat darbesinde hesaplanmıştır.

Quinchanegua ve Rodriguez(2003),Kronecker çarpımları algoritmasına dayalı çoklu demet şekillendirme algoritmasını FPGA üzerinde simule etmişlerdir. Bu amaçla FPGA üzerinde bir DSP tasarlanmıştır. Bu tasarım MATLAB/Simulink üzerinde yapılmıştır ve çok hızlı entegre devreler için donanım tanımlama dili (Very high speed integrated circuit Hardware Description Language,VHDL) kodları oluşturtulmuştur.

Kim ve arkadaşları (2004), birim MUSIC işlemcisi kullanarak FPGA üzerinde DOA kestirimi yapmışlardır. Bu sistem ana demeti Dolph-Chebyshev demeti mantığı ile yönlendirilmektedir. İstenmeyen girişimlerden kaçınmak için bir sıfıryönlendirme algoritması yardımı ile ana demetin yanlarında sıfırlar oluşturulmaktadır. Demet şekillendirme katsayıları, daha önceden hesaplanarak sadece okunabilir bellekte (Read

(17)

Only Memory, ROM) saklanan Dolph-Chebyshev ve opsiyonel silme demeti bilgileri kullanılarak hızlı bir şekilde hesaplanır. Böylece işlem yükü ciddi oranda düşürülür. Tüm performansta görülmüştür ki,eş kanal sönümlenmesi ve eklemeli beyaz Gauss gürültüsünde küçük açısal kaymalarla başarılı sonuçlar alındığı belirtilmektedir.

Boonyanat ve Tanaram (2004), FPGA üzerinde alt uzay yapısında çalışan özyinelemeli rotasyonel değişmezlik tekniği ile işaret parametrelerinin kestirimi (Estimation of Signal Parameters via Rotational Invariance Techniques, ESPRIT) algoritması gerçekleştirilmiştir. Yaptıkları tasarım daha sade bir tasarımdır. Sistem 4 sensörlü (mikrofonlu) bir düzgün doğrusal dizi (Uniform Linear Array, ULA)‟dir. Konuşma kaynaklarının 10dB SNR değerine sahip olduğu durum için simülasyonlar yapılmıştır.

Fang ve arkadaşları (2005),FPGA temelli lineer dizi anten alıcı sistemi tasarlamışlardır. Amaçları, gerek demet şekillendirmesi gerekse DOA kestirimi yapangenel amaçlı bir platform oluşturmaktır. Sistem yönleri değiştirilebilen dizi anten elemanları, senkron programlanabilir analog faz kaydırıcıları ve FPGA kontrol kartından oluşmaktadır. Deneyler bilgisayar tarafından kontrol edilen bir sinyal jeneratörü ve FPGA çıktılarını bilgisayara aktaran bir ara birim ile yapılmaktadır. Deneylerde sistemin başarısı kanıtlanmıştır.

Briles ve arkadaşları(2005),FPGA üzerinde yürütülen bir Bayesian demet şekillendirici tasarlamışlardır. Bu amaçla FPGA üzerinde matrisin tersinin alınması gibi bir takım DOA işlemlerinigerçekleştirmek için DSP tasarlamışlardır. Bazı işlemleri ise paralel hesaplama metodu ile hesaplamışlardır. Bu şekilde sonuçlardaki küsurat doğruluğunu artırıldığı belirtilmektedir.

Zou ve arkadaşları (2006), klasik DOA kestirim algoritmalarından yüksek performanslı ancak paralel hesaplamalar için öz değerlere ayırma işlemi sebebiyle uygun olmayan MUSIC algoritmasını incelemişlerdir. MUSIC algoritmasının performansından bir miktar fedakârlık ederek öz değer ayırma işlemini kaldırmışlar ve tüm işlemleri FPGA üzerinde paralel hesaplanabilir hale getirerek gerçekleştirmişlerdir. Simülasyonlarda 10 elemanlı ULA anten kullanılmıştır. Antenler λ/2 aralıkla yerleştirilmişlerdir. Eş zamanlı olarak 15˚, 25˚ ve 35˚ konumlarından gelen sinyaller için DOA kestirimi yapılmıştır. Sonuçlarda 15˚ için 14.978˚, 25˚ için 25.027˚ ve 35˚ için 35.113˚ konumları tespit edilmiştir. 3 adet TMS32OC6711 DSP çipi ile klasik MUSIC algoritması 1.4 ms‟de hesaplanırken bu yeni algoritma FPGA üzerinde 0.354 ms‟de hesaplanmıştır.

(18)

Garcia ve arkadaşları(2006), Brezilya Üniversitesi yazılım tanımlı radyo (Software Defined Radio, SDR) grubunda SDR mimarili DOA kestirimi yapabilen küçük bir prototip yapmışlardır. 4 elemanlı ULA anten kullanarak DOA kestirimi yapmak için sistem tekrar konfigüre edilmiştir. Bu yapı ile geniş bantlı veya çok bantlı RF kaynakların sebebiyet verdiği anten elemanları arasındaki kuplajın etkilerinin ölçülmesi mümkündür. Bu amaçla oluşturulan mimaride frekans aşağı/yukarı dönüştürücüler, düşük gürültülü yükselticiler (Low Noise Amplifier, LNA) ve filtreler bulunan bir baştan sona RF yapı (Front-End RF Structure, F-E RFS) tasarlanmıştır. Bu kattan çıkan IF sinyali 64 Msps hızında 12 bit olarak dijitale çevrilmiş ayrıca 128 Msps hızında dijital veriler analoğa çevrilerek giden IF sinyali elde edilmiştir. Alınan bilgiler evrensel seri bağlantı (Universal Serial Bus, USB) bağlantısı ile Linux işletim sistemi içerisinde çalışmakta olan bir ara yüze gönderilmiştir. Bu ara yüz, gelen sinyallerden çeşitli algoritmalar ile DOA kestirimi yapmaktadır. Ayrıca istenilen ışıma deseni bu ara yüzde oluşturulup katsayılar hesaplanmakta ve sisteme gönderilmektedir. Böylece istenilen şekilde ışıma deseni elde edilebilmektedir.

García ve arkadaşları (2007), FPGA üzerinde DOA kestiriminin çözünürlüğünü artırmak için bulanık mantık sistemi kurmuşlardır. Bu amaçla simülasyonlarda, ULA anten yapısı ile düşük çözünürlüklü DOA kestirim algoritması kullanılmıştır. Amaçları birbirine açısal olarak yakın ve birbirini etkileyen iki kaynağı ayırt edebilmektir. Bulanık mantık türü olarak Sugeno seçilmiş ve giriş çıkış birimleri 8 bit genişliğinde tasarlanmıştır. Üyelik fonksiyonu ve kurallar DOA algoritmasının ana demetinin yapısına göre belirlenmektedir. Bulanık mantık algoritmasının birbirine yaklaşan düşük SNR değerine sahip iki kaynağı takip etmede başarılı olduğu belirtilmektedir.

Li ve arkadaşları (2007),dizi anten sistemleri için sinyal jeneratörü görevi yapan donanım ve yazılım tasarlamışlardır. Sistem FPGA tarafından kontrol edilmektedir. Yazılım Visual C++ dilinde yazılmış ve sistem ile USB‟ye bağlı bir USB – Evrensel asenkron alıcı verici (Universal Asynchronous Receiver/Transmitter, UART) dönüştürücü (FT245BM) entegresi üzerinden haberleşmektedir. Gelen bilgilere göre FPGA içerisinde ağırlık katsayıları hesaplanmakta ve 10 bit çözünürlüğünde dijital analog dönüştürücü ile veri analog sinyallere çevrilerek QPSK modülasyonu yapılmaktadır. Çıkış 1.4GHz frekansında ve 3˚ faz hassasiyetindedir.

Yao ve arkadaşları (2008), çoklu alt dizili demet-uzay MUSIC (Multiple sub-array beam-space, MSB-MUSIC) adını verdikleri yeni bir DOA kestirim algoritması geliştirmişlerdir. İşlemleri yapmak üzere FPGA üzerinde CORDIC tabanlı DSP‟ler

(19)

tasarlamışlar ve dijital frekans aşağı dönüşümüyaptırmışlardır. Ayrıca öz değer çözümlemesi için ayrı iki DSP tasarlamışlardır. Bütün bu yapı kullanılarak, göl gibi su birikintilerinin tabanından gelen yankı ile sığ sularda zemin görüntüleme başarılmıştır.

Mar ve Lin (2009), FPGA kullanarak çoklu demet, DOA kestirimi sıfıryönlendirme metotlarını içeren bir dijital demet şekillendirme (Digital Beam Forming, DBF) sistemini SDR mimarisinde tasarlamışlardır. Bu yapı kullanılarak yapılan demet şekillendirme işlemi 55 saat darbesinde sonuca ulaşıldığı ve 30MHz frekansında çalıştığı belirtilmiştir. Bir defa DOA kestirimi 1.83µs‟de gerçekleştirildiği belirtilmektedir.

Song ve Zhang (2009), yaptıkları çalışmada 8 elemanlı aralarında λ/2 boşluk bulunan ULA ve düzgün daireseldizi (Uniform Circular Array, UCA) anten için MUSIC algoritmasının performansını MATLAB ortamında karşılaştırdıklarını ve tatminkâr sonuç aldıklarını belirtmektedirler. Elde ettikleri MATLAB algoritmalarını VHDL koda çevirdikleri ancak uygulamaya henüz geçirmediklerini bildirmişlerdir.

Jamali ve arkadaşları(2009),yaptıkları çalışmada FPGA üzerinde paralel ve ardışık işlem yapan bir FFT işlemcisi tasarlayarak MUSIC algoritması ile DOA kestirim işlemi yapmışlardır. FFT işlemcisi MATLAB Simulink kullanılarak otomatik olarak oluşturulmuştur. Bu yapı bir pasif radar uygulaması için tasarlanmıştır.

Roy ve arkadaşları(2009), FPGA temelli bir yapıda sabit demet şekillendirme üzerine çalışmışlardır. Bu amaçla MATLAB ortamında birçok test yapılmıştır. Simülasyonlarda 8 elemanlı ULA antenyapısı kullanılmıştır. Bu metodun radar sistemlerinde kullanılmasının analog radar sisteminde karşılaşılan güçlüklerin birçoğunu elimine edeceği belirtilmiştir.

Abusultan ve arkadaşları (2010),FPGA üzerinde çalıştırılmak üzere Bartlett DOA kestirim algoritmasını kullanmışlardır. Bu amaçla 8 adet düzgün dairesel anten dizisi yapısı için sinyal jeneratörü kullanılarak analog dijital dönüştürücü (Analog Digital Converter, ADC) girişleri beslenmiştir. ADC‟ler 12.5 Msps hızında örnek almaktadırlar. Bartlett DOA kestirim algoritması FPGA dışında ayrıca MicroBlaze işlemcisi ile de çalıştırılmış ve hesaplama süresi ölçülmüştür. Aynı yapı için FPGA‟nın sadece FFT operasyonlarından 3000 kere daha hızlı olduğu belirtilmektedir. Algoritmanın tüm işlemlerinin toplam süresi FPGA üzerinde 287 µs sürerken işlemci ile hesaplamada bu süre 840000µs olduğu belirtilmektedir.

Dikmeşe ve arkadaşları(2011), yaptıkları çalışmada CDMA sistemlerinde sıklıkla kullanılan demet şekillendirme işleminde katsayıların hesaplanması için FPGA

(20)

ve DSP kullanılması durumunu karşılaştırmışlar vehesaplamalar için gereken süreleri elde etmişlerdir.Sonuçlar göstermektedir ki, istenilen ışıma deseni elde etmek için c6713 DSP‟si ile 10000µs gerekirken, FPGA‟lı sistemde bu süre 25µs‟dir. Bu FPGA‟nın DSP‟den 500 kere daha hızlı olduğunu göstermektedir.

Schaffer ve arkadaşları(2012), 5GHz bandında yoldaki kullanıcıları tespit ederek trafik güvenliğini artırmak için FPGA altyapısında çalışan bir sistem tasarlamışlardır. Sistem araç içerisine yerleştirilerek ve çevresindeki bisiklet, araba gibi nesnelerin hızlarını ve konumlarını belirlemektedir. Bu yapıda bir Virtex 4 FPGA cihazı kullanılmıştır. FPGA tarafından üretilen sinyal önce IF sinyaline daha sonra ise 5.768 GHz frekansına yükseltilerek ortama yayılmaktadır. Alınan sinyaller önce IF‟ye düşürülmekte daha sonra ADC ile dijitale çevrilerek FPGA‟ya aktarılmaktadır. Deneylerde 100m‟ye kadar olan yol kullanıcılarını tespit etmeyi başardığı belirtilmektedir.

Liang ve arkadaşları (2012), FPGA altyapısında 3 boyutlu ses konumu belirleme işlemi yapmışlardır. Yapının işlemcisi ağırlıkla paralel işlemler yapan bir DSP‟dir. Sistem 3 doğrultudan aldığı sesleri dijitale çevirmekte ve FPGA içerisindeki DSP ile işleyerekyatay ve düşey açıları hesaplamaktadır. DOA kestirimi için minimum varyans bozulmasız tepki (Minimum Variance Distortionless Response, MVDR) algoritması kullanılmıştır. Sistem hem sıradan DSP, hem de tasarladıkları DSP için ayrı ayrı test edilmiştir. Uygulama,ağırlıkla paralel işlem yapan DSP ile işletildiği zaman, normal bir DSP üzerinde işletildiği duruma göre 501 kez daha hızlı olduğu belirtilmektedir.

Elvira ve arkadaşları (2013), yaptıkları bir çalışmada yaptıkları bir prototipin koordinat ve yönünü bir ultrason sistemi ile DOA kestirimi yaparak belirlemişlerdir. Prototip iki boyutlu bir uzay içerisinde bulunmaktadır. Sistem ultrasonik alıcı ve vericiler, motor sürücüleri ve adım sayıcıları ve kızılötesi sensörlerden oluşmaktadır.Tüm çevre birimleri ise FPGA donanımına bağlıdır. FPGA içerisinde zaman gecikmesine bağlı gelen sinyal yön ve mesafe kestirimi yapılmaktadır. Klasik sistemlerin 15 ms sürede bir ölçüm yapabildikleri belirtilirken tasarlanan sistemin ölçüm süresinin 90µs olduğu belirtilmektedir.

Ghayoula ve arkadaşları (2013), yaptıkları çalışmalarında bir melez algoritmayı FPGA tabanlı bir sistem üzerinde test ettikleri belirtilmektedir. Anten dizisi 10 elemandan oluşmaktadır. FPGA olarak Spartan-3E cihazı kullanılmıştır. DOA kestirim algoritması olarak MUSIC ve Dolph–Chebyshev ışıma deseni şekillendirici

(21)

kullanılmıştır. Anten elemanları için gerekli olan katsayıları hesaplamaya yarayan bir donanım tasarımının başarıldığı belirtilmektedir.

Inserra ve Tonello(2014), yaptıkları çalışmada DOA kestirim algoritmalarını deneyebilmek için bir test düzeneği tasarlamışlardır. Bu test düzeneği üzerinde kendi ekseni etrafında dönebilen, 4 adet λ/2 aralıkla yerleştirilmiş bir ULA anten bulunmaktadır. Bu antenlerden alınan bilgiler dörtlü F-E RFS ve ADC bordu ile FPGA‟ya aktarılmaktadır.İstenilen DOA kestirim algoritması bu yapı içerisinde tasarlanarak test edilebilmektedir.

(22)

3. MATERYAL VE YÖNTEM

3.1. Kullanılan Materyal

Bu tez çalışmasında DOA kestirimi yapılmıştır. Bu amaçla tasarlanan yapı 4 adet monopol anten, 4 kanal F – E RFS, 4 kanal 50 Msps hızında örnekleme yapabilen ADC kartı ve 4 kanal 125 Msps hızında örnekleme yapabilen DAC kartı, Cyclone IV E: EP4CE115F29C7 FPGA cihazını barındıran Altera DE2-115 geliştirme kartı kullanılmıştır. Bahsedilen yapının blok diyagramı Şekil 3.1‟de görülmektedir. Ayrıca tasarımın yapılması, FPGA kodlarının derlenmesi ve gerekli yazılımların hazırlanması içinIntel i3 işlemcili 4 GB RAM‟li ve 1 TB harddisk kapasiteli Windows 7 işletim sistemli bir bilgisayar kullanılmıştır.

(23)

3.1.1. Antenler

Kurulan sistemde anten olarak çeyrek dalga boylu monopol anten kullanılmıştır. Monopol anten kullanılmasının nedeni ışıma deseninin azimut açısına göre değişmemesidir. Şekil 3.2‟de çeyrek dalga boylu bir monopol antenin ışıma deseni üç boyutlu olarak gösterilmektedir.

ġekil 3.2. Çeyrek dalga boylu monopole antenin üç boyutlu ışıma deseni

Tasarlanan sistemde çalışma frekansı 50MHz seçilmiştir. Bu durumda dalga boyu λ 6 6 300.10 6 50.10 c m f   

olarak bulunur. Bu durumda çeyrek dalga boyu 1.5m olacaktır. Anten malzemesi olarak 8mm çapında alüminyum boru kullanılmıştır. Bu alüminyum borular bir yalıtkan levha üzerine sabitlenmiş koaksiyel kablonun orta ucu alüminyum boruya bağlanmış şasesi ise yaklaşık 20cm‟lik bir iletken çubukla topraklanmıştır.

Kullanılan 4 anten λ/2 yani 3m aralıklarla yerleştirilmiş ve 6m‟lik koaksiyel kablo ile antenler alıcı birime bağlanmıştır. Tüm antenlerin iletim hatları eşit uzunlukta seçilerek antenlerden gelen sinyallerin arasında bağıl faz farkı oluşması engellenmiştir.

3.1.2. Alıcıbirimi

Antenlerden gelen sinyaller F bağlayıcı ile alıcı birime bağlanmaktadır. Gelen sinyaller Şekil 3.3‟te görülen emitör girişli yükselteç kullanılarak ön yükseltme işlemi

(24)

gerçekleştirilmiştir.Bu yükselteçte kullanılan direnç, kondansatör ve bobin değerleridenemeler yolu ile tespit edilmiştir.

ġekil 3.3. Emitör girişli ön yükselteç devresi

Alınan sinyal, ön yükseltme işleminden sonra frekans düşürme işlemi için SA602 entegresine uygulanmıştır.SA602mikser entegresidir ve blok diyagramı Ek 2‟de verilmiştir. Lokal osilatörden aldığı 48 MHz frekanslı sinyal ile antenlerden gelen sinyali çarparak iki bileşen üretir. Bunlardan birincisi iki sinyalin frekansları toplamı olan 98 MHz frekanslı bileşendir. İkincisi ise iki sinyalin frekansları farkı olan 2 MHz frekanslı bileşendir.

SA602 entegresinin8 numaralı bacağı olan Vcc +5V ile beslenmiştir. 6 numaralı osilatör bloğunun beyz girişi harici 48MHz‟lik kristal osilatör ile beslenmiştir. Kullanılan kristal osilatör Şekil 3.4‟te gösterildiği gibi HC1-T 48.0000 markalı bir osilatördür. Besleme yapıldıktan sonra 2 numaralı bacağından 48MHz frekanslı sinüzoidal sinyal alınmaktadır. Bu sinyal antenlerden gelen her dört yapı için de lokal osilatör olarak kullanıldığı için 4 bloğu birlikte beslemektedir. Bloklara ulaşan sinyaller arasında faz farkı oluşmaması için tüm blokların osilatör girişi ile eşit uzunlukta iletim hattı ile birleştirilmiştir.

(25)

ġekil 3.4. HC1-T 48.0000 markalı kristal osilatör

Ön yükselteçten gelen sinyaller ise 1 numaralı pozitif girişe bağlanmıştır. Mikser katında bu iki sinyalin çarpılmasıyla 4 numaralı pozitif çıkış bacağında oluşan 98MHz ve 2 MHz frekanslı iki bileşen, 2MHz‟lik kristal filtreden geçirilerek yüksek frekanslı bileşen ortadan kaldırılmışböylece2MHz frekanslı bileşen seçilmiştir.

Filtrelenerek seçilen 2MHz frekanslı sinyal, 15MHz küçük sinyal bant genişliğine sahip işlevsel yükselteç (OPerational AMPlifier, OPAMP) ile yükseltilmiştir. Kullanılan ADC kartları 50Ω giriş empedansına sahiptir. Oysa LM318 çıkışı yaklaşık 150Ω‟dur. Bu uyumsuzluğu gidermek için emitör çıkışlı bir yükselteç ile çıkış empedansı uyumlandırılmıştır. LM318 entegresi ile kurulan devre Şekil 3.5‟te verilmiştir. Burada kullanılan elemanların değerleri denemelerle tespit edilmiştir.

ġekil 3.5. Ara frekans yükselteç devre şeması

3.1.3. Analog dijital dönüĢtürücü

Analog dijital dönüştürücü olarak Altera firması tarafından üretilen ADA Daughter Board (ADA-GPIO) kullanılmıştır. Bu kart iki adet 65 Msps hızında 14 bit

(26)

çözünürlüğünde ADC bulunmaktadır. Sistemde bu karttan iki adet kullanarak 4 adet analog giriş portu elde edilmiştir.Bu kartın tercih edilmesinin sebebi, kullanılan Altera firmasına ait olan FPGA geliştirme kartı ile uyumlu olmasıdır. Şekil 3.6‟da bu kartın ön ve arka yönlerinden resmi görülmektedir.

ġekil 3.6. Altera firmasının ADA Daughter Board ADC-DAC kartı

Ayrıca bu kart üzerinde iki adet de 125 Msps hızında 14 bit çözünürlüğünde DAC bulunmaktadır. Kartın giriş ve çıkışlarında 50Ω empedanslı bir transformatör bulunmaktadır.

3.1.4. FPGA geliĢtirme kartı

Alanda Programlanabilir Kapı Dizileri (Field Programmable Gate Array, FPGA), programlanabilir mantık blokları ve bu bloklar arasındaki ara bağlantılardan oluşan ve geniş uygulama alanlarına sahip olan sayısal tümleşik devrelerdir. Tasarımcının ihtiyaç duyduğu mantık işlevlerini gerçekleştirme amacına yönelik olarak üretilmiştir. Dolayısıyla her bir mantık bloğunun işlevi kullanıcı tarafından düzenlenebilmektedir. FPGA ile temel mantık kapılarının ve yapısı daha karmaşık olan devre elemanlarının işlevselliği artırılmaktadır. Alanda programlanabilir ismi verilmesinin nedeni, mantık bloklarının ve ara bağlantıların imalat sürecinden sonra programlanabilmesidir.

FPGA, programlanabilir mantık blokları, bu blok dizisini çevreleyen giriş-çıkış blokları ve ara bağlantılar olmak üzere Şekil 3.7‟de görüldüğü gibi düzenlenebilir üç

(27)

ana bölümden oluşur. Programlanabilir mantık blokları, ara bağlantılar içerisine gömülü şekilde bulunur. Programlanabilir mantık bloklarının yapılandırılması ve bu bloklar arasındaki iletişim ara bağlantılar sayesinde gerçekleşir. Giriş çıkış blokları, ara bağlantılar ile bütünleşmiş devrenin paket bacakları arasındaki ilişkiyi sağlar.

ġekil 3.7. FPGA blok yapısı

Tipik FPGA mantık bloğu, 4 girişli LUT yapısı ve flip-flop gibi diğer mantık elemanlarından oluşur. 4 girişli LUT yapısı, değişik mantıksal işlemleri yürütür. Çıkış verileri isteğe bağlı olarak yazmaçta saklanır. Bu yapı Şekil 3.8‟de görülmektedir.

(28)

Tez çalışmasında üzerinde çeşitli uygulamalarda kullanılabilecek çevre birimleri bulunan bir geliştirme kartı kullanılmıştır. Kullanılan kart Altera firmasına ait DE2-115 Development and Education Board‟tur. Özellikleri Ek 3‟te listelenmiştir.

ADC kartından bilgiler FPGA kartına gelmektedir. Alınan bilgiler burada işlenerek sonuçlar seri port aracılığı ile PC‟ye aktarılmaktadır. Kullanılan FPGA geliştirme kartı Şekil 3.9‟da görülmektedir.

ġekil 3.9. Altera firmasına ait DE2-115 geliştirme kartı

3.1.5. Bilgisayar

FPGA yazılımını yazmak, derlemek, yüklemek, MATLABsimülasyonları yapmak ve FPGA üzerinde elde edilen sonuçları gözlemlemek için bir PC kullanılmıştır. Bu PC Intel Core i32.8GHz işlemci, 64 bit Windows 7 işletim sistemi kurulu, 4 GB RAM ve 1TB harddiske sahip bir masa üstü bilgisayardır.

3.1.6. MATLAByazılımı

MATLAB, teknik hesaplamalar ile programlamayı birleştiren bir yazılımdır. Ayrıca, MATLAB kolay bir kullanım imkânı sunarak kullanıcıların derinlemesine bilgi

(29)

sahibi olmamasına rağmen hızlı ve zahmetsiz bir şekilde program yazabilmesini mümkün kılar. MATLAB programının bazı kullanım alanları

• Gömülü Sistemler • Görüntü ve Video İşleme • Hesaplamalı Biyoloji • Hesaplamalı Finans • Haberleşme Sistemleri • Kontrol Sistemleri • Mekatronik

• Sayısal İşaret İşleme • Test ve Ölçüm

şeklinde sıralanabilir. MATLAB ayrıca üniversitelerde ders ortamında kullanılan bir araç haline gelmiştir. MATLAB ile programlama ve hesaplama kolaylığı için, MATLABiçerisinde araç kutuları (toolbox) oluşturulmuştur. Çalışma konularına özel olarak hazırlanan araç kutularının sayısı otuzun üzerindedir. Bu araç kutuları sayesinde diğer programlama dillerinde onlarca satırlık kodlar yazarak geliştirilecek programlar MATLABile bir komutla gerçekleştirilebilmektedir (Seyfi, 2011).

3.1.7. Quartus II yazılımı

Altera Quartus II tasarım yazılımı, kullanıcıların istediği özel yapıları tasarlayabilecekleri çok platformlu ve tam bir tasarım ortamı sunar. Programlanabilir yonga üzerindeki sistem (System On a Programmable Chip, SOPC)‟lerin tasarımı için oldukça geniş bir platform sunar. Quartus II yazılımı FPGA ve CPLD (Complex Programmable Logic Device) tasarımının tüm fazları için çözümler içerir.

Quartus II yazılımı VHDL, Verilog, AHDL gibi birçok donanım tanımlama dilini yazmaya ve derlemeye olanak tanır. Ayrıca tasarlanan sistemin geliştirme kartına yüklenmesi işlemini de yerine getirir.

3.2. Kullanılan Yöntem

Tez çalışmasında DOA kestirimi ve DBF işlemleri yapılmıştır. Her iki işlemde öncelikle MATLAB ortamındasimülasyonlarla incelenmiş ve daha sonra FPGA üzerinde uygulanmıştır.

(30)

3.2.1. Anten dizileri

Antenler hem yakın hem uzak alanlarda kompleks elektromanyetik (EM) alanlar oluştururlar. Üretilen tüm EM alanlar uzaya gönderilmezler. Bir kısmı antenin bazı bölgelerinde kalır. Bir dipol anten için dört bölge Şekil 3.10.‟da gösterilmektedir (Gross, 2005).

ġekil 3.10. Anten alan bölgeleri(Gross, 2005)

Bu bölgelerin sınırları aşağıda tanımlanmıştır.

Anten Bölgesi: Anteni içerisine alan dairesel bölgedir ve yarı çapı Denklem

3.1.‟deki gibidir (Gross, 2005).

2

L

R (3.1)

Reaktif Yakın Alan Bölgesi: Anten çevresinde reaktif alan içeren bölgedir. Enerji

antenin çevresinde endüktif ve kapasitif olarak saklanır. Bu anten terminal direncindeki sanal kısma karşı gelir. Bu bölgenin sınırı Denklem 3.2‟de verilmiştir (Gross, 2005).

3

0.62 L

R

(31)

Fresnel Bölgesi: Yakın alan ile Fraunhofer uzak alanı arasında kalan bir

bölgedir. Anten bu bölgede ışıma yapar ancak ışıma deseni antenden mesafe ile değişir. Elektromanyetik dalgalar küreseldir. Bu bölge Denklem 3.3‟te verilmiştir (Gross, 2005).

3 2

2

0.62 L R L

    (3.3)

Fraunhofer (Uzak Alan) Bölgesi: Yakın alandan sonra yer alan ve ışıma

deseninin mesafe ile değişmediği bölgedir. Bu bölgede dalgaların düzlemsel hale geldiği kabul edilebilir. Normalde, anten kullanımı esnasında bu bölgede çalıştığı varsayılmaktadır. Bu bölge Denklem 3.4‟teki sınırla tanımlanmaktadır (Gross, 2005).

2

2L

R

 (3.4)

Anten Işıma Deseni: Anten ışıma deseni, o antenin yönlü özelliklerini gösteren

grafik veya bir fonksiyondur. Işıma deseni elektrik veya manyetik alana göre verilirse

alan deseni olarak isimlendirilir. Işıma deseni, ışıma yoğunluğuna göre verilirse güç deseni denir. Şekil 3.11‟de bir antenin ışıma deseni Kartezyen koordinat sisteminde ve

kutupsal koordinat sisteminde görülmektedir (Gross, 2005).

ġekil 3.11. (a) Kartezyen koordinat sisteminde alan deseni (b) Kutupsal koordinat sisteminde alan deseni(Gross, 2005)

(32)

Demet Genişliği:Demet genişliği bir antenin ışıma desenindeki -3dB seviyesine

düştüğü noktalar arasındaki açısal büyüklüktür. Bu ışıma deseni şayet güç ışıma deseni ise bu noktalar arasındaki açıya yarı güç demet genişliği (Half Power Beam Width, HPBW) denir. Şekil 3.12‟de kutupsal koordinat sisteminde demet genişliği gösterilmektedir (Gross, 2005). Bunun dışında, ilk sıfırlar arasındaki açı olarak de demet genişliği belirtilebilir. Bu genişliğe ilk sıfır demet genişliği (First Null Beam Width, FNBW) denir.

ġekil 3.12. Kutupsal koordinat sistemindeki ışıma deseni üzerinde demet genişliği

Yönelticilik: Yönelticilik bir antenin aynı gücü yayan izotropik antene göre

belirli bir yönde ne oranda güç yayabildiğinin bir ölçütüdür (Gross, 2005). Yönelticilik ( , )

G   ile gösterilir ve değer logaritmik olarak verilir. Birimi dBi (desibel izotropik)

dir.

Kazanç: Kazanç bir antenin istenilen doğrultuda enerji yayabilme yeteneğidir.

Yönelticilikte, iletken kaybı, dielektrik kaybı ve iletim hattı uyumsuzluğu gibi sebeplerden kaynaklanan kayıplar dikkate alınmaz. Kazançta ise tüm kayıplar dikkate alınmalıdır(Gross, 2005).

(33)

Kazanç ( , )G  ile gösterilirve;

( , ) . ( , )

G  e D  (3.5)

Burada etüm anten verimliliği olarak tanımlanır (Gross, 2005).

Etkin (Efektif) açıklık: Etkin açıklık, bir antenin aldığı sinyal gücünün ortamda

bulunan güç yoğunluğuna oranıdır. Etkin açıklık yine antenin yönelticiliği ile alakalı bir değerdir. Denklem 3.6‟da etkin açıklık için formül verilmiştir (Gross, 2005).

2 ( , ) . ( , ) 4 e A    e D    (3.6)

Tek bir antenin ışıma deseni geniş ve yönelticiliği (ve kazancı) zayıftır. Çoğu uygulamada uzun mesafeli iletişimi daha az enerji ile sağlamak için daha iyi yönelticiliğe ihtiyaç duyulur. Bu ancak antenin elektriksel boyutunun büyütülmesi ile mümkündür. Ancak bu büyütme işleminde dalga boyunun yarısını geçtiği durumlarda yan kulaklar oluşmaya başlar. Bu problem ancak anten dizileri kullanarak aşılabilir.

Birçok anten elemanından oluşan yapıya anten dizisi denir. Şekil 3.13‟te4 adet yama antenden oluşan bir anten dizisi ve toplama devresigörülmektedir.

(34)

Anten dizileri özdeş antenlerden oluşmak zorunda değildir, ancak özdeş antenler kullanmak çoğu durumda uygun, basit ve pratiktir. Anten dizisinin ışıma deseni ise her bir antenin yaptığı ışımanın vektörel olarak toplanmasıyla elde edilir. Her bir antenin akımının (antenler arası kuplaj ihmal edilirse) eşit olduğu varsayılabilir. Daha iyi bir yönelticilik elde etmek için istenilen doğrultuda tüm anten elemanlarının yapıcı girişim yapması, diğer doğrultularda yıkıcı girişim yapması gereklidir. Teorik olarak bu durum mümkün olsa da pratikte ancak yaklaşmak mümkündür (Constantine, 1997).

Özdeş anten elemanlarından oluşan bir dizi için, ışıma deseni şekillendirmede kullanılabilecek beş temel kontrol vardır (Constantine, 1997). Bunlar:

1. Anten dizisinin geometrik şekli 2. Anten elemanları arasındaki mesafe 3. Her bir anten elemanının genlik değeri 4. Her bir anten elemanının faz değeri

5. Her bir anten elemanının bireysel ışıma deseni

Anten elemanlarının genlik ve faz değerleri dışındaki parametreler anten dizisi ve elemanlarının fiziksel yapısı veya geometrik konumları ile alakalıdır. Bu parametreler anten dizisinin imalatı esnasında belirlenirler. Bu sebeple bu tez çalışmasında elektronik olarak kontrol edilebilen genlik ve faz parametrelerini değiştirilerek ışıma deseni şekillendirilmeye çalışılmıştır.

(35)

ġekil 3.14. ULA anten geometrisi(Constantine, 1997)

Antenden Fraunhofer uzak alanında bulunan bir noktada gerçekleşecek ışıma için aşağıdaki varsayımlar yapılabilir (Constantine, 1997).

1 2 3 1 1 2 1 3 1 4 1 1 1 2 3 . . . .cos 2. .cos

3. .cos faz işlemleri için .

.

1 . .cos

. . . genlik işlemleri için N N N r r r r d r r d r r d r r N d r r r r r                                      (3.7)

(36)

Her bir elemanın r mesafede oluşturduğu ışıma deseni ise Denklem 3.8‟de verilmiştir (Constantine, 1997). [ ] 0 4 j t kr kI l E j e r        (3.8)

Burada ω açısal frekans,t ise zamandır. Bir anten dizisinin ışıma desenini tespit edebilmek için tüm antenlerin oluşturduğu ışıma toplanır. Genlik kısmındaki ifade tüm anten elemanları için aynıdır. Burada değişikliği oluşturan kısma anten faktörü (Array Factor, AF) denir. Mesafeyi belirten r ise Denklem 3.7‟deki faz işlemleri için değeri yerine konulursa AF için Denklem 3.9 yazılabilir (Constantine, 1997).

( cos( ) ) 2( cos( ) ) 3( cos( ) ) ( 1)( cos( ) )

1 j kd j kd j kd ... j N kd

AF e   e   e    e    (3.9)

Buradak dalga sayısı (Wave Number) olarak adlandırılır ve değeri k=2π/λ dır.

k.d.cos(θ)+β = ψ olarak ifade edilirse ışıma deseninin maksimumuψ=k.d.cos(θ)+β = 0

noktasında oluşur. θ=arccos(-β/kd) açısında ilk maksimum oluşur. Denklem 3.9basitleştirilirse AF için Denklem 3.10 elde edilir (Constantine, 1997).

2 3 ( 1)

1 j j j ... j N

AF ee  e   e   (3.10)

Denklem 3.10‟da her iki tarafı ejψile çarpılırsa;

2 3

. j j j j ... jN

AF e e e  e   e  (3.11)

olur. Denklem 3.11‟den Denklem 3.10‟u çıkartılırsa;

( j 1) ( 1 jN )

AF e    e  (3.12)

(37)

( 1) ( 1) jN j e AF e      (3.13)

Anten dizisinin fiziksel merkezi referans alınır ve AF normalize edilirse AF için ifade Denklem 3.14‟teki gibi olacaktır (Constantine, 1997).

sin( ) 1 2 1 sin( ) 2 N AF N    (3.14)

Denklem 3.14‟te de görüldüğü gibi oluşan ışıma deseninde ana demetin genişliği dizide kullanılan anten sayısı ile ters orantılıdır. Ne kadar yüksek yönelticilik isteniyorsa anten sayısı o kadar fazla olmalıdır.

3.2.2. Gelen sinyal yön (DOA) kestirimi

Uzayda dağınık konumdaki sensörlerin algıladığı sinyalleri kullanarak enerji yayan ışıma kaynaklarının konumlarının tespiti, radar, sonar, mobil haberleşme, radyo astronomi ve sismoloji gibi birçok alanda ciddi bir öneme sahiptir (Godara, 2004). Tez çalışmasının bu bölümündeuzak alanda ışıma yapan eş merkez frekanslı dar bant sinyallerinin ULA anten ile iki boyutta DOA kestirimi üzerinde çalışılmıştır. DOA kestiriminde amaçM tane sinyal kaynağının N elemanlı ULA antenin normali ile yaptığı

θ açılarını tespit etmektir.

3.2.2.1. Bartlett Metodu

İlk spektral DOA kestirim metotlarındandır. BartlettSpektral kestirim metodunda anten dizisi tarafından alınan güç, θ‟nın bir fonksiyonu olarak hesaplanır ve bir uzaysal spektrum elde edilir. Bu uzaysal spektrumda lokal maksimumlar belirlenir. Elde edilen sonuç PB(θ) ilgili doğrultudan gelen güç miktarıdır. Bu değer Denklem 3.15‟deki

fonksiyonla elde edilir (Godara, 2004).

2 . . ( ) H B S R S P N     (3.15)

(38)

Denklem 3.15‟te Sθ, θ açısı için yön vektörü (Steering Vector, SV), N, ULA

anteni oluşturan anten elemanı sayısını ve R, dizinin korelasyon matrisini temsil eder. (_)H üzerinde bulunan H indisi ilgili vektörün Hermitian‟ını temsil eder. Sθparametresi

Denklem 3.16‟da verilmektedir (Godara, 2004).

sin( ) 2 sin( ) 3 sin( ) ( 2) sin( ) ( 1) sin( )

( ) [1, jkd , j kd , j kd ,..., j N kd , j N kd ]

S   eeee   e   (3.16)

Bartlett DOA kestirim algoritmasında yapılan bu işlem, anten dizisini fiziksel olarak her açıya yönlendirerek ışıma gücü ölçülmesi işlemine benzemektedir. Ancak belirli bir doğrultuda bulunan değer sadece o yöndeki sinyal kaynağının değil,az da olsa oluşan yan kulaklar doğrultusundaki sinyal kaynaklarının değerini içermektedir. Bu metot geleneksel demet şekillendirme olarak da bilinmektedir (Godara, 2004).

Bartlett DOA kestirim algoritmasının en büyük dezavantajı açısal çözünürlüğünün HPBW ile sınırlı olmasıdır. Yani birbirine ULA anteninin HPBW açısından daha yakın iki kaynak tek kaynak olarak belirlenmektedir. Bu nedenle bu algoritmada yüksek çözünürlük ihtiyacı ancak ULA antenin eleman sayısının artırılması ile mümkün olabilir (Gross, 2005; Krim, 1996).

Denklem 3.16‟da verilen SV, N(anten sayısı) elemanlı bir sütun matrisidir. Bu vektör daha sonra verilecek birçok DOA kestirim algoritmasında da kullanılmaktadır.

Bartlett metodu ile MATLAB ortamında yapılan simülasyon sonucu elde edilen DOA kestirim sözde spektrumu Şekil 3.15‟te verilmiştir. Burada ULA anteni 5 elemanlı seçilmiştir. Birisi 25°, diğeri -45° konumunda bulunan iki kaynaktan gelen sinyaller için Bartlett DOA kestirimi yaptırılmıştır.

(39)

ġekil 3.15. Bartlet DOA kestirimi sonucu

3.2.2.2. Minimum varyans bozunumsuz tepki (MVDR) metodu

MVDR metodu ile spektrum kestirimi, maksimum olabilirlik metodu (Maximum Likelihood Method, MLM)‟nun DOA kestirimine uygulanmış halidir. Bu algoritmanın esası, ilgilenilen doğrultu dışındaki doğrultulardan gelen sinyallerin gürültü olarak kabul edilmesidir (Samhan, 2006). Demet şekillendirme literatüründe MVDR optimal demet şekillendirme olarak geçmektedir. DOA kestiriminde elde edilen sözde spektrum güç miktarından ziyade maksimum olabilirliğin olduğu yönleri göstermektedir (Godara, 2004).

Bu metot ilgilenilen doğrultuda ortalama güç çıkışının birim sabite oranını minimize ederek elde edilen dizi katsayılarını kullanır. MVDR DOA kestirim algoritmasının sözde spektrumdaki dağılımı veren ifade Denklem 3.17‟de verilmiştir (Godara, 2004). 1 1 ( ) . . MVDR H P S R S   (3.17)

Burada (_)-1 indisi ilgili matrisin tersini temsil etmektedir. Bu metot Bartlett DOA kestiriminden daha iyi sonuçlar vermektedir. Ancak bu algoritmada kaynaklar arasında genlik, faz veya frekans değerleri bire bir aynı ise sonuç ciddi ölçüde

(40)

kötüleşmektedir (Rafiqul, 2009). Ayrıca gelen sinyallerin sinyal gürültü oranları (Signal Noise Ratio, SNR) değerinin düşmesi, sözde spektrumda elde edilen tepe noktalarının genişlemesi ve gerçek değerinden kaymasına neden olmaktadır. Bu nedenle birbirine çok yakın iki sinyal kaynağının ayırt edilebilmesi için SNR değerlerinin kestirim eşiğinden yüksek olması gerekir (Vaidyanathan, 1995; Richmond, 2005).

MVDR metodu ile MATLAB ortamında yapılan simülasyon sonucu elde edilen DOA kestirim sözde spektrumu Şekil 3.16‟da verilmiştir. Burada ULA anteni 5 elemanlı seçilmiştir. Birisi 25°, diğeri -45° konumunda bulunan iki kaynaktan gelen sinyaller için MVDR DOA kestirimi yaptırılmıştır.

ġekil 3.16. MVDR DOA kestirimi sonucu

3.2.2.3. Birinci dereceden ileri kestirim metodu

Polinom çözümlerinde Newton prediktörleri (NP) hesaplamaların karmaşık olmaması ve basit tasarıma sahip olmaları nedeniyle oldukça sık tercih edilen metotlardan biridir (Ovaska, 1992).z domeninde M‟ninci dereceden n adımlı ileri yönlü NP‟nin transfer fonksiyonu Denklem 3.18‟de verilmiştir (Ovaska, 1991).

0 ( ) (1 ) M n n k M k H z z  

 (3.18)

(41)

Orijinal NP‟nin iki tane iyileştirilmiş hali vardır. Bunlar lineer düzgünleştirilmiş Newton prediktörleri (Linear Smoothed Newton Predictors, LSNP) ve medyan düzgünleştirilmiş Newton prediktörleri (Median Smoothed Newton Predictors, MSNP) dir. LSNP‟ler ardışık olarak tekrarlandığı zaman uygulanabilirliğinin arttığı gözlenmiş ve bu işlem tekrarlanan LSNP (Recursive Linear Smoothed Newton predictors, RLSN) olarak adlandırılmıştır (Ovaska, 1991).

RLSN‟nin en basit formu bir kere işletilmesi durumu olan,birinci dereceden ileri kestirim (First Order Forward Prediction, FOFP) dir. FOFP‟nin z domenindeki transfer fonksiyonu Denklem 3.19‟da verilmiştir. Tekrarlama işleminde, önceki işlemde elde edilen sonuçlar, sonraki işlem için (1a) katsayısı ile çarpılarak girişe uygulanmaktadır. Kestirim için kullanılan örnek sayısı N dir. Bu durumda transfer fonksiyonunda bir tekrarlamaya ihtiyaç yoktur. 1

1( )

P z , reel eksene göre simetrik olarak

1/

(1N a. ) N yarıçaplı bir çember etrafına dizilmiş N tane sıfır ve z 1 a noktasındaki tek kutba sahiptir (Ovaska, 1992).

1 1 1 1 ( ) ( ) 1 (1 ) N z a N N P z a z        (3.19)

Birtakım varsayımlar ile ULA antenine ait sinyal modeli ile kestirim hesaplamaları ilişkilendirilebilir (Constantinides, 2013). Bu işlemler sonucunda FOFP‟nin sözde spektrumu Denklem 3.20‟deki gibi hesaplanabilir.

 

1 1 1 2 1 1 H FOFP H P u R u u R S     (3.20)

FOFP algoritması ile DOA kestiriminin temel mantığı ULA anteninden seçilen bir elemanı referans alarak ortalama çıkış gücünü minimize edecek dizi katsayılarını hesaplamaktır. Denklem 3.20‟de verilen u seçilen elemanın değerinin 1 diğerlerinin 0 olduğu bir sütun matrisidir. Seçilecek eleman için geçerli bir kıstas bulunmamaktadır. Ancak seçilen eleman sözde spektrumun açısal çözünürlüğüne etkisi bulunmaktadır. Bu etki kaynakların SNR değerine ve dağılımına bağlıdır. FOFP DOA kestirim algoritması orta dereceli SNR değerlerinde iyi sonuçlar vermektedir. Özellikle yaklaşık olarak eşit

(42)

genliklere sahip ve neredeyse koherent kaynakların bulunduğu ortamlarda da iyi sonuçlar vermektedir (Godara, 2004).

FOFP metodu ile MATLAB ortamında yapılan simülasyon sonucu elde edilen DOA kestirim sözde spektrumu Şekil 3.17‟de verilmiştir. Burada ULA anteni 5 elemanlı seçilmiştir. Birisi 25°, diğeri -45° konumunda bulunan iki kaynaktan gelen sinyaller için FOFP DOA kestirimi yaptırılmıştır.

ġekil 3.17. FOFP DOA kestirimi sonucu

3.2.2.4. Çoklu sinyal sınıflandırma (MUSIC) metodu

Çoklu sinyal sınıflandırma (MUSIC) metodu DOA kestiriminde kullanılan oldukça verimli bir öz yapı (eigenstructure) metodudur. Bu algoritmanın esası, gelen sinyalleri, öz vektör ve öz değer matris çözümlemesi yaparak, işaret alt uzayı ve gürültü alt uzayı şeklinde ikiye ayırmaktır. Öz vektörün sıfır olduğu kısım gürültü, diğer kısım ise sinyal alt uzayının konumunu temsil eder. Bu değere göre öz değer matrisi iki kısma ayrılır. Gürültü uzayında arama ve gürültünün minimum olduğu bölgelerin tespitidir. MUSIC metodunda DOA kestirimi için kullanılan ifade Denklem 3.21'deki gibidir (Godara, 2004). 2 1 ( ) ( . . . ) MUSIC H H n n P S Q Q S   (3.21)

(43)

Burada Qn, alınan sinyallerin korelasyon matrisinin öz değer matrisinin gürültü

kısmıdır. MUSIC metodu ile MATLAB ortamında yapılan simülasyon sonucu elde edilen DOA kestirim sözde spektrumu Şekil 3.18‟de verilmiştir. Burada ULA anteni 5 elemanlı seçilmiştir. Birisi 25°, diğeri -45° konumunda bulunan iki kaynaktan gelen sinyaller için MUSIC DOA kestirimi yaptırılmıştır.

ġekil 3.18. MUSIC DOA kestirimi sonucu

MUSIC DOA kestirim algoritması oldukça yüksek çözünürlüğe sahip bir algoritmadır. Ancak öz değer vektörü ve matrisi hesaplamaları için matris boyutunda dereceye sahip bir denklemin köklerinin bulunmasını gerektirdiği için işlem yükünün oldukça yüksek olması, bu algoritmanın en büyük dezavantajıdır.

3.2.2.5. Minimum örnek metodu

Minimum örnek (MINimum NORM, MIN NORM) metodu ULA anten yapısında kullanılabilen bir DOA kestirim algoritmasıdır. Bu algoritma da bir öz değer vektör ve matrisini kullanan alt uzay algoritmasıdır. Güç dağılım spektrumu ifadesi Denklem 3.22‟de verilmiştir.

_ 2 1 ( ) ( . . . ) MIN NORM H H n n P S Q Q e   (3.22)

(44)

Burada e ifadesi ilk elemanı 1 diğer elemanları 0 olan ve boyu anten sayısı kadar olan bir sütun matrisidir (Godara, 2004). MIN NORM metodu ile MATLAB ortamında yapılan simülasyon sonucu elde edilen DOA kestirim sözde spektrumu Şekil 3.19‟da verilmiştir. Burada ULA anteni 5 elemanlı seçilmiştir. Birisi 25°, diğeri -45° konumunda bulunan iki kaynaktan gelen sinyaller için MIN NORM DOA kestirimi yaptırılmıştır.

ġekil 3.19. MIN NORM DOAkestirimi sonucu

3.2.2.6. Rotasyonel değiĢmezlik tekniği ile iĢaret parametrelerinin kestirimi (ESPRIT)

ESPRIT, DOA kestirim için verimli ve güçlü bir metottur. Bu metotta iki özdeş dizi gereklidir. Bu iki dizinin bir kaynağı gösteren eş açıda ve eş mesafede bir deplasman vektörüne sahip olmaları gerekir. Burada bahsedilen iki özdeş dizi birbirinden ayrı iki dizi grubu değildir. Örneğin 4 elemanlı bir ULA anteni için birinci dizi 1,2 ve 3‟üncü elemandan oluşurken ikinci dizi 2,3 ve 4‟üncü elemandan oluşur.

Bunun için alınan sinyallerin korelasyon matrisinin öz değer vektörü ve matrisi elde edilir. Öz değer matrisinden seçilen sinyal alt uzayı tekrar iki gruba ayrılır. Anten sayısı N ise 1 ile (N-1) arasındaki sinyal alt uzayı birinci grup (A) olarak, 2 ile N

Şekil

ġekil 3.2. Çeyrek dalga boylu monopole antenin üç boyutlu ışıma deseni
ġekil 3.22. 8 elemanlı ULA antenin Butler Matrisi ile 2R noktasndan beslenirken ışıma deseni
ġekil  3.24.  Blass  Matrisi  ile  beslenen  3  elemanlı  ULA  antenin  farklı  frekanslardaki  ışıma  desenleri
ġekil 3.28. Faz kaydırma metodu ile 30˚ yönünde ışıma yapan ULA anteninin ışıma deseni
+7

Referanslar

Outline

Benzer Belgeler

Aşağıda verilen sayılardan başlayarak yukarıdan aşağı doğru yüzer ritmik sayalım. Boş

Gökçek’in heykelini tekrar ye­ rine koymasının kendisi için onur verici bir durum olduğunu kayde­ den Aksoy, “Ben bu davayı kişisel bir tükürük davası olarak

Paşa anılarında, Gürbüzler Ordusu’nu şöyle anlatıyor: Yoksul ve bakımsız çocukları devlet.. himayesine alarak memleketin diğer çocukları gibi başarılı ve

Örne¤in bu aç›dan, Yefliller Partisi'nin ilk genel baflkan› Celal Ertu¤'un, 1993 y›l›nda yay›nlanan bir yaz›s›nda Tür- kiye'de, dönemin toplumsal, siyasal

1 Temmuz Devlet memurları aylıkları- nın tevhid ve teadülü hak- kındaki kanuna ek 3888 sa- yılı kanunun üçüncü mad- desine iki fıkra eklenmesi hakkında 4069 sayılı kanun

In this project, Rainbow trout’s (Oncorynchus mykiss, Walbaum 1792) differences on feeding and growing evaluations have been observed, by this way, preferable fish size

In this paper, we explained about different characteristics, types, consensus mechanisms, and challenges of blockchain along with various major attacks like DDoS

Algoritmada olasılık değerleri hesaplandıktan sonra bu değerler kullanılarak rulet tekerleğine göre seçim işleminde her bir kaynak için [0.1] aralığında rastgele sayı